This commit is contained in:
Luc Perkins 2022-08-20 14:47:43 +03:00
parent e788a09be9
commit bf45826289
No known key found for this signature in database
GPG key ID: 4F102D0C16E232F2
25 changed files with 57 additions and 31 deletions

View file

@ -21,7 +21,8 @@
pkgs = import nixpkgs { inherit config system; }; pkgs = import nixpkgs { inherit config system; };
inherit (pkgs) boot clojure leiningen mkShell; inherit (pkgs) boot clojure leiningen mkShell;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ boot clojure leiningen ]; buildInputs = [ boot clojure leiningen ];

View file

@ -11,7 +11,8 @@
let let
pkgs = import nixpkgs { inherit system; }; pkgs = import nixpkgs { inherit system; };
inherit (pkgs) cue mkShell; inherit (pkgs) cue mkShell;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ cue ]; buildInputs = [ cue ];

View file

@ -37,7 +37,8 @@
dhallToolsLinux = optionals isLinux (mkDhallTools [ "csv" "text" ]); dhallToolsLinux = optionals isLinux (mkDhallTools [ "csv" "text" ]);
dhallTools = dhallToolsCommon ++ dhallToolsLinux; dhallTools = dhallToolsCommon ++ dhallToolsLinux;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ dhall ] ++ dhallTools; buildInputs = [ dhall ] ++ dhallTools;

View file

@ -21,7 +21,8 @@
linuxDeps = optionals isLinux [ gigalixir inotify-tools libnotify ]; linuxDeps = optionals isLinux [ gigalixir inotify-tools libnotify ];
darwinDeps = optionals isDarwin [ terminal-notifier ] darwinDeps = optionals isDarwin [ terminal-notifier ]
++ [ CoreFoundation CoreServices ]; ++ [ CoreFoundation CoreServices ];
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ elixir elixir_ls ] ++ linuxDeps ++ darwinDeps; buildInputs = [ elixir elixir_ls ] ++ linuxDeps ++ darwinDeps;

View file

@ -13,7 +13,8 @@
inherit (pkgs) mkShell; inherit (pkgs) mkShell;
elm = pkgs.elmPackages.elm; elm = pkgs.elmPackages.elm;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ elm ] ++ (with pkgs; [ elm2nix ]); buildInputs = [ elm ] ++ (with pkgs; [ elm2nix ]);

View file

@ -141,7 +141,8 @@
) )
done done
''; '';
in { in
{
devShells = { default = mkShell { buildInputs = [ format update ]; }; }; devShells = { default = mkShell { buildInputs = [ format update ]; }; };
}); });
} }

View file

@ -13,7 +13,8 @@
gleamPkg = pkgs.gleam; gleamPkg = pkgs.gleam;
inherit (pkgs) mkShell; inherit (pkgs) mkShell;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ gleamPkg ]; buildInputs = [ gleamPkg ];

View file

@ -13,7 +13,8 @@
goPkg = pkgs.go_1_17; goPkg = pkgs.go_1_17;
inherit (pkgs) mkShellNoCC; inherit (pkgs) mkShellNoCC;
in { in
{
apps.default = { apps.default = {
type = "app"; type = "app";
program = "${goPkg}/bin/go"; program = "${goPkg}/bin/go";

View file

@ -13,7 +13,8 @@
goPkg = pkgs.go_1_18; goPkg = pkgs.go_1_18;
inherit (pkgs) mkShellNoCC; inherit (pkgs) mkShellNoCC;
in { in
{
apps.default = { apps.default = {
type = "app"; type = "app";
program = "${goPkg}/bin/go"; program = "${goPkg}/bin/go";

View file

@ -28,7 +28,8 @@
]; ];
relatedTools = with pkgs; [ terragrunt ]; relatedTools = with pkgs; [ terragrunt ];
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = hashiTools ++ relatedTools; buildInputs = hashiTools ++ relatedTools;

View file

@ -11,7 +11,8 @@
let let
pkgs = import nixpkgs { inherit system; }; pkgs = import nixpkgs { inherit system; };
inherit (pkgs) cabal-install ghc mkShell; inherit (pkgs) cabal-install ghc mkShell;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ cabal-install ghc ]; buildInputs = [ cabal-install ghc ];

View file

@ -21,7 +21,8 @@
java = jdk; java = jdk;
buildTools = with pkgs; [ ant gradle maven ]; buildTools = with pkgs; [ ant gradle maven ];
inherit (pkgs) mkShell; inherit (pkgs) mkShell;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ java ] ++ buildTools; buildInputs = [ java ] ++ buildTools;

View file

@ -25,7 +25,8 @@
kotlin = pkgs.kotlin; kotlin = pkgs.kotlin;
buildTools = with pkgs; [ gradle ]; buildTools = with pkgs; [ gradle ];
otherTools = with pkgs; [ gcc ncurses patchelf zlib ]; otherTools = with pkgs; [ gcc ncurses patchelf zlib ];
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ kotlin ] ++ buildTools ++ otherTools; buildInputs = [ kotlin ] ++ buildTools ++ otherTools;

View file

@ -11,7 +11,8 @@
let let
pkgs = import nixpkgs { inherit system; }; pkgs = import nixpkgs { inherit system; };
inherit (pkgs) mkShell nickel; inherit (pkgs) mkShell nickel;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ nickel ]; buildInputs = [ nickel ];

View file

@ -11,7 +11,8 @@
let let
pkgs = import nixpkgs { inherit system; }; pkgs = import nixpkgs { inherit system; };
inherit (pkgs) mkShell nim; inherit (pkgs) mkShell nim;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ nim ]; buildInputs = [ nim ];

View file

@ -24,7 +24,8 @@
]; ];
inherit (pkgs) mkShell; inherit (pkgs) mkShell;
in { in
{
devShells = { default = mkShell { buildInputs = nixRelatedTools; }; }; devShells = { default = mkShell { buildInputs = nixRelatedTools; }; };
}); });
} }

View file

@ -16,7 +16,8 @@
}; };
overlays = [ nodeOverlay ]; overlays = [ nodeOverlay ];
pkgs = import nixpkgs { inherit overlays system; }; pkgs = import nixpkgs { inherit overlays system; };
in { in
{
devShells = { devShells = {
default = pkgs.mkShell { default = pkgs.mkShell {
buildInputs = with pkgs; [ node2nix nodejs pnpm yarn ]; buildInputs = with pkgs; [ node2nix nodejs pnpm yarn ];

View file

@ -14,7 +14,8 @@
ocaml = pkgs.ocamlPackages.ocaml; ocaml = pkgs.ocamlPackages.ocaml;
ocamlTools = with pkgs.ocamlPackages; ocamlTools = with pkgs.ocamlPackages;
[ dune_3 odoc ] ++ (with pkgs; [ ocamlformat ]); [ dune_3 odoc ] ++ (with pkgs; [ ocamlformat ]);
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ ocaml ] ++ ocamlTools; buildInputs = [ ocaml ] ++ ocamlTools;

View file

@ -13,7 +13,8 @@
inherit (pkgs) conftest mkShell open-policy-agent; inherit (pkgs) conftest mkShell open-policy-agent;
opaTools = [ conftest open-policy-agent ]; opaTools = [ conftest open-policy-agent ];
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = opaTools; buildInputs = opaTools;

View file

@ -11,7 +11,8 @@
let let
pkgs = import nixpkgs { inherit system; }; pkgs = import nixpkgs { inherit system; };
inherit (pkgs) buf mkShell protobuf; inherit (pkgs) buf mkShell protobuf;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ buf protobuf ]; buildInputs = [ buf protobuf ];

View file

@ -20,7 +20,8 @@
pythonTools = with pkgs; pythonTools = with pkgs;
[ virtualenv ] ++ (with pkgs.python311Packages; [ pip ]); [ virtualenv ] ++ (with pkgs.python311Packages; [ pip ]);
nixTools = [ machNix ]; nixTools = [ machNix ];
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ python ] ++ pythonTools ++ nixTools; buildInputs = [ python ] ++ pythonTools ++ nixTools;

View file

@ -13,7 +13,8 @@
inherit (pkgs) mkShell; inherit (pkgs) mkShell;
ruby = pkgs.ruby_3_1; ruby = pkgs.ruby_3_1;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ ruby ]; buildInputs = [ ruby ];

View file

@ -18,7 +18,8 @@
inherit (pkgs.lib) optionals; inherit (pkgs.lib) optionals;
inherit (pkgs.stdenv) isLinux; inherit (pkgs.stdenv) isLinux;
rust = if builtins.pathExists ./rust-toolchain.toml then rust =
if builtins.pathExists ./rust-toolchain.toml then
rust-bin.fromRustupToolchainFile ./rust-toolchain.toml rust-bin.fromRustupToolchainFile ./rust-toolchain.toml
else if builtins.pathExists ./rust-toolchain then else if builtins.pathExists ./rust-toolchain then
rust-bin.fromRustupToolchainFile ./rust-toolchain rust-bin.fromRustupToolchainFile ./rust-toolchain
@ -29,7 +30,8 @@
rustTools = with pkgs; rustTools = with pkgs;
[ cargo-audit cargo-deny cargo-cross rust-analyzer ] [ cargo-audit cargo-deny cargo-cross rust-analyzer ]
++ optionals isLinux (with pkgs; [ cargo-watch ]); ++ optionals isLinux (with pkgs; [ cargo-watch ]);
in { in
{
packages.default = rust; packages.default = rust;
devShells = { devShells = {

View file

@ -25,7 +25,8 @@
scala = pkgs.scala_3; scala = pkgs.scala_3;
buildTools = with pkgs; [ sbt ]; buildTools = with pkgs; [ sbt ];
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ scala ] ++ buildTools; buildInputs = [ scala ] ++ buildTools;

View file

@ -13,7 +13,8 @@
zigPkg = pkgs.zig; zigPkg = pkgs.zig;
inherit (pkgs) mkShell; inherit (pkgs) mkShell;
in { in
{
devShells = { devShells = {
default = mkShell { default = mkShell {
buildInputs = [ zigPkg ]; buildInputs = [ zigPkg ];